STL Import for IntelliCAD 1.0

लाइसेंस: नि: शुल्क परीक्षण ‎फ़ाइल आकार: 1.38 MB
‎उपयोगकर्ताओं की रेटिंग: 5.0/5 - ‎1 ‎वोट

इंटेलिकेड के लिए एसटीएल आयात इंटेलीकैड संचालित अनुप्रयोगों के लिए एक स्टीरियोलिथोग्राफी एसटीएल फाइल आयात प्लग-इन है। यह प्लग-इन इंटेलीकैड संचालित अनुप्रयोगों को एएससीआईआई और बाइनरी एसटीएल फाइलों से ज्यामितीय डेटा आयात करने की क्षमता देता है। एसटीएल फाइल प्रारूप रैपिड प्रोटोटाइप उद्योग का मानक डेटा ट्रांसमिशन प्रारूप है और स्टीरियोलिथोग्राफी मशीनों के साथ बातचीत करने के लिए आवश्यक प्रारूप है। स्टीरियोलिथोग्राफी फाइलों में ठोस होते हैं जो 3 तरफा पहलुओं से बने होते हैं। इंटेलिकैड के लिए एसटीएल आयात इन पहलुओं को एक ही पॉलीफेस मेष इकाई में परिवर्तित करता है और इसे सक्रिय ड्राइंग में जोड़ता है। इंटेलिकेड के लिए एसटीएल आयात का उपयोग करना बहुत आसान है क्योंकि यह इंटेलिकाड संचालित आवेदन को एक नया कमांड जोड़ता है जिसे "STLImport"कहा जाता है। कमांड प्रॉम्प्ट पर बस टाइप "STLImport" और सक्रिय ड्राइंग में आयात करने के लिए एसटीएल फाइल का चयन करें। 'एसटीएलआईपोर्ट' मेनू में निम्नलिखित आदेश शामिल हैं: STLImport - एक एसटीएल फ़ाइल आयात करता है STLImportHelp - इंटेलिकैड सहायता फ़ाइल के लिए एसटीएल आयात प्रदर्शित करता है STLImportRegister - इंटेलीकैड के लिए एसटीएल आयात की अपनी प्रति दर्ज करता है STLImportAbout - बॉक्स के बारे में इंटेलिकैड के लिए एसटीएल आयात प्रदर्शित करता है एसटीएल फाइल प्रारूप एसटीएल फाइल प्रारूप रैपिड प्रोटोटाइप उद्योग का मानक डेटा ट्रांसमिशन प्रारूप है और स्टीरियोलिथोग्राफी मशीनों के साथ बातचीत करने के लिए आवश्यक प्रारूप है। स्टीरियोलिथोग्राफी फाइलों में ठोस होते हैं जो 3 तरफा पहलुओं से बने होते हैं। एसटीएल फ़ाइलें एएससीआईआई या बाइनरी डेटा हो सकती हैं, हालांकि एएससीआईआई प्रारूप में सहेजे जाने पर सीएडी डेटा के परिणामस्वरूप आकार के कारण बाइनरी कहीं अधिक आम है। एक एसटीएल फ़ाइल एक त्रि-आयामी कार्टेसियन समन्वय प्रणाली का उपयोग करके त्रिकोण के सामान्य और वर्टिक्स (दाएं हाथ के शासन द्वारा आदेशित) द्वारा एक कच्ची असंरचित त्रिभुज सतह का वर्णन करती है। कई सीएडी सिस्टम अपने अन्य प्रारूपों के बीच एसटीएल फाइल प्रारूप को आउटपुट करने में सक्षम हैं, लेकिन बहुत कम में एसटीएल फाइलों को आयात करने की क्षमता है।

संस्करण इतिहास

  • विवरण 1.0 पर तैनात 2009-01-02

कार्यक्रम विवरण